Processes

Also available as a PDF: Download

Surface Analysis and nano-scale Imaging (co-located MRSEC shared facilities)

    • JEOL JEM-2800 S/TEM with ultrafast EDS, 3D tomo
    • FEI Helios Nanolab 650i hi-res dbFIB, Nabity EBL

Pt, W, C dep; XeF2, I2, H2O enhanced etch

  • FEI Quanta 600 FE-ESEM w/ EDS, EBSD, particle ID
  • Kratos AxisUltra imaging XPS / Auger / ISS
  • EDAX Eagle III Microspot XRF (µprobe & mapping)
  • Bruker ICON-PT AFM: PF-QNM, wet cell, MFM, KPFM
  • Woollam V-VASE spectroscopic ellipsometer
  • ZYGO NewView 5032 optical profilometer
  • Keyence VHX-5000 3D (& contact angle goniometer)
  • Micro fiducial laser cutter for correlative multiscale

microscopy: 355, 532, 1064 nm; 1.2-15mJ

  • Vertex 220 microVu Digital Comparator
  • SEM/TEM prep tool suite, manual and ion polishing

Cleanroom

LITHOGRAPHY (Class 100) Pattern Generation & Direct Write

  • Nanoscribe Professional GT-2 micro/nano 3D printer: 200nm-micron-mm scale printing on silicon and glass. IP-DIP, IP-S, IP-Q, IP-Visio, IP-PDMS, GP-Silica, IP-n162 Resins
  • Heidelberg DWL66+ Laser Pattern Generator submicron 0.3mm (300nm) min lines and spaces, gray-scale patterning, direct write up to 200mm substrates and 9” photomasks.
  • Heidelberg µPG 101 Laser Pattern Generator (x2) 0.9mm, 2.5mm write heads, gray scale lithography
  • Nanofrazor 30nm-200nm nanolithography tool
  • EVG EV-420, Suss MA1006 front & backside mask aligner
  • OAI Models 200 & 810 (with BSA) contact aligners
  • Spinners, ovens, hot plates, fume hoods, SRDs, ultrasonic lift-off. CEE Apogee spinner/bakeplate.
  • LOR 10B, AZ 9620, Shipley 1813, nLOF 2020, AZ 40XT, SU8, ma-P 1275, AZ Barlii II ARC, AZ MiR 701, HMDS
  • L-Edit, Cadence, AutoCAD, SolidWorks
  • Link-CAD DXF/GDSII/CIF/BMP conversion tools

 THIN FILM DEPOSITION (Class 1000)

Sputtering:

  • TMV SS-40C: 8 dedicated cathodes, dual cryo-pumped
  • Denton Discovery 18: 3 user config 3” cathodes, RF/DC/Reactive/Heated sputtering.
  • Denton 635LL: 4-cathodes, RGA, OES-feedback reactive O2 or N2 sputter, heated/cooled chuck to 500C, co-sputtering, coats up to 200mm dia wafers
    • Precious Metals: Ag, Au, Ir, Pd, Pt, Ru
    • Other Metals/Alloys: Al, Al/Si, BN, C, Chromel, Co, Cr, Cu, Cu/Ag, Ge, Fe, Ni, NiCr, NiCrFe, Nb, Si (p-type), Ta, Ti, TiW, V, W, Cr2Si, Zr, Hf
    • Oxides / Ceramics: Al2O3, AlN, SiO2, IrOx, ITO, BN, YSZ

Evaporation: Al, Ag, Au, Au/Ge, Cr, Cu, Mo, NiCr, Ni, Ta, Ti

  • Denton e-beam DV-SJ/20C with four hearths

PECVD/ICPCVD

  • Oxford PlasmaPro 100 Cobra 300 ICP: low-stress Si3N4, SiO2, amorphous Si, up to 200mm wafers

CVD

  • SCS PDS 2010 Parylene-C

MOCVD

  • Agnitron Agilis-IH: Gallium Oxide, Germanium Oxide

ALD

  • Cambridge Fiji F200 w/ thermal & plasma dep modes, H2O plasma (Pt, HfO2, ZnO, Al2O3, SiO2, TiO2, ZrO2)

 FURNACES and DIFFUSION  (Class 1000)

LPCVD

  • Expertech LTO / PSG / low-stress, silicon-rich and stoichiometric Si3N4, a & P-doped polysilicon

Atmospheric and Rapid Annealing

  • Allwin 610 RTP/RTA with O2, N2, Ar, H2 forming gas, 200-1250 °C
  • ProTemp wet/dry thermal silicon oxidation with DCE
  • Blue-M box furnace with N2 or Ar purge
  • Vacuum oven (250 C)

 ETCH  (Class 1000)

RIE and DRIE

  • Oxford Plasmalab 100+ ICP DRIE Bosch & cryo, SF6, CF4, CHF3, O2, Ar, N2
  • Oxford PlasmaPro 100 Cobra 300 ICP DRIE Bosch: pieces up to 200mm wafers
  • Technics PEII H2O vapor, O2 descum & resist strip
  • Xactix Xetch XeF2 silicon isotropic dry etch
  • Plasmatherm 790 metal RIE (Cl2, BCl3, SF6, CF4, O2, Ar)

Wet Chemical

  • Bold & WAFAB wet benches (x6) acids, bases, organics
  • Gold wet-etch station

MICROMACHINING / MESO-SCALE PROTOTYPING

  • KOH bulk Si micromachining etch station
  • LaserStar 1900 micro laser welder (1064nm, 150J)
  • ULS CO2 flatbed laser (25W + 75W, 1090nm)
  • DPSS Samurai UV laser (355nm, 10um spot size, 3 W)

BACKEND PROCESSES & PACKAGING

  • Strasbaugh 6EC 100 mm CMP (Si, SiO2 planarizing)
  • EVG 520IS wafer bonder (anodic, eutectic, polymer, fusion)
  • Disco DAD 641 & Disco 3220 dicing saws (std or UV tape)
  • MEI wedge wirebonder with Au and Al wire

CLEAN (Class 10,000) MICROFLUIDICS

  • SU-8 soft lithography and Sylgard 184 PDMS bonding
  • Corona discharge UV/O3 plasma treatment

CLEANROOM (Class 1000) METROLOGY

  • JEOL JSM-IT200LV Inspection SEM
  • Keyence VHX-5000 3D measuring microscope
  • n&k NKT 1500 thin film analyzer with wafer mapping
  • Nanometrics NanoSpec 3000 film thickness
  • Filmetrics F20 & F40 small spot film thickness
  • Magnetron Instruments 4-point probe
  • Polyvar Met with DIC + many optical microscopes
  • Tencor P-10 and P-20 stylus profilometers
  • Tencor Flexus 2320 film stress analyzer

ELECTRICAL / MAGNETIC TESTING

  • Verigy 9300 SOC IC tester
  • Keysight 404A Mixed Signal O-scope
  • Keysight E5061B Network Analyzer
  • Keithley 4200A semiconductor parameter analyzer (four SMUs + Micromanipulator probe station

CLEANROOM STAFF SERVICES

  • Sign up to use the Nanofab: https://www.nanofab.utah.edu/get-access-to-our-lab/
  • Professional technical support https://www.nanofab.utah.edu/lab-staff/#process
    • 3D microprinting on Nanoscribe GT2 Pro
    • Photomask design and generation
    • Wafer/chip design and fabrication
    • Thin film deposition (Gold, Platinum, Iridium, Silicon Dioxide, Silicon Nitride, etc)
    • Deep Reactive Ion Etching of Silicon
  • Microfluidics
  • Microoptics (lenses, diffractive optical elements, grey scale lithography)
  • MEMS and microactuators
  • Microsensors and Multi-electrode arrays
  • Thin film deposition, lithography, and patterning

STAFF SERVICES

  • Equipment Installation, Diagnostics, and Repair
    • Alcatel ASM 180TD dry-pumped leak detecto
  • Professional Technical Support
    • Design of Experiments (DOE)
    • R&D Process Development
    • Training on Equipment and Nanofabrication Processes