Processes

Also available as a PDF: Download

Surface Analysis and nano-scale Imaging (co-located MRSEC shared facilities)

    • JEOL JEM-2800 S/TEM with ultrafast EDS, 3D tomo
    • FEI Helios Nanolab 650i hi-res dbFIB, Nabity EBL

Pt, W, C dep; XeF2, I2, H2O enhanced etch

  • FEI Quanta 600 FE-ESEM w/ EDS, EBSD, particle ID
  • Kratos AxisUltra imaging XPS / Auger / ISS
  • EDAX Eagle III Microspot XRF (µprobe & mapping)
  • Bruker ICON-PT AFM: PF-QNM, wet cell, MFM, KPFM
  • Woollam V-VASE spectroscopic ellipsometer
  • ZYGO NewView 5032 optical profilometer
  • Keyence VHX-5000 3D (& contact angle goniometer)
  • Micro fiducial laser cutter for correlative multiscale

 

microscopy: 355, 532, 1064 nm; 1.2-15mJ

  • Vertex 220 microVu Digital Comparator
  • SEM/TEM prep tool suite, manual and ion polishing

 

Cleanroom

DESIGN & SIMULATION SOFTWARE

  • L-Edit, Cadence, AutoCAD
  • Link-CAD DXF/GDSII/CIF/PG/EM conversion tools
  • SASS JMP statistical design of experiments (CADE)
  • ANSYS & COMSOL finite element analysis

LITHOGRAPHY

Pattern Generation
  • Heidelberg µPG 101 Laser Pattern Generator (x2)
    • 1µm, 2.5 µm, 5µm write heads
    • 900nm direct write and grayscale patterning
Pattern Transfer
  • EVG EV-420 front and backside mask aligner
  • Suss MA1006 contact aligner
  • OAI Model 200 contact aligner (x2)
  • Spinners, ovens, hot plates, hoods, SRDs, …
  • LOR 7B, AZ 9620, Shipley 1813, nLOF 2020
  • YES HMDS vapor prime vacuum ovens

THIN FILM DEPOSITION

Sputtering:
    • TMV SS-40C: 8 dedicated cathodes, dual cryo-pumped
    • Denton Discovery 18: 3 user config 3” cathodes, RF/DC
    • Denton 635LL: 3-cat, OES, RGA feedback reactive sputter

Metals/Alloys: Ag, Al, Al/Si, Au, C, Chromel, Co, Cr, Cu, Cu/Ag, Ge, Ir, Fe, Ni, NiCr, NiCrFe, Nb, Pd, Pt, Si (p-type), Ta, Ti, TiW, V, W
Oxides / Ceramics: Al2O3, SiO2 ITO, BN, CeSm(ox), LaSrFe(ox),
NaMnO3, NdMgO, SiC, Si3N4, TaO2, TiO2, YNiZrO, YSZ, ZnO

Evaporation: Al, Ag, Au, Au/Ge, Cr, Cu, Mg, Mo, NiCr, Ni, Ta, Ti
  • Denton e-beam DV-SJ/20C with 4 hearths, user config
  • CHA 600 e-beam (Al, Mg)
  • CVC SC-5000 e-beam (pending, organic)
PECVD
  • Oxford Plasmalab 80+: α-Si, Si3N4, SiONx, SiO2
CVD
  • SCS PDS 2010 Parylene-C
ALD
  • Cambridge Fiji F200 w/ thermal & plasma dep modes, H2O plasma (Pt, HfO2, ZnO, Al2O3, SiO2,, TiO2, MgO, ZrO2, WO3, AlN)

FURNACES and DIFFUSION

LPCVD
  • Expertech TEOS / LTO / PSG / low-stress Si3N4, α & phos-polySi
Atmospheric and Rapid Annealing
  • Blue-M box furnace with N2 purge
  • ProTemp wet/dry oxidation with DCE
  • Allwin 610 RTP/RTA with O2, N2, Ar, H2 forming gas, 200-1250C

ETCH

RIE and DRIE
  • STS Aspect ICP DRIE: time-multiplex Si etch (anti-footing)
  • Oxford Plasmalab 100+ ICP time-multiplex & cryo DRIE SF6, CF4, CHF3, O2, Ar, Cl2, HBr, N2
  • Oxford Plasmalab 80+ multipurpose (SF6, CF4, O2, Ar)
  • Technics PEII H2O, O2 descum & resist strip
  • Xactix Xetch XeF2 silicon isotropic etch
Wet Chemical
  • Bold & WAFAB wet benches (x6) acids, bases, organics
  • Au etch station

MICROMACHINING

  • Optec Micromaster KrF laser polymer machining
    • Reel-Tech LM4000 Nd-YAG laser micromachining
    • Custom KOH bulk Si etch station
    • Cu & Ni electroplating station

POLISHING/PLANARIZATION

  • Strasbaugh 6EC 100 mm CMP (Si, SiO2)

PACKAGING & PROTOTYPING

  • EVG 520IS wafer bonder (hot embossing, thermal & anodic)
  • Disco DAD 641 & Disco 3220 dicing saws (std or UV tape)
  • MEI wedge wirebonder with Au and Al wire
  • UTI 9101 wire bond pull tester
  • Laserstar 1900 micro laser welder (1064nm 150J)
  • Viteris Technologies Precision Microwire EDM
  • Omnicure 1000 UV curing (320-500nm)

MICROFLUIDICS PROTOTYPING

  • PDMS precision dispensing & lamination press
  • Corona discharge UV/O3 plasma treatment
  • CEE Spinner/Coater Glove Box and Vacuum Oven Suite
  • CO2 Laser (dual laser cartridge, 10W and 75W)

TEST AND CHARACTERIZATION Cleanroom Metrology

  • Keyence VHX-5000 3D microscope
  • LEO 440 SEM
  • n&k NKT 1500 thin film analyzer
  • Nanometrics NanoSpec 3000 film thickness
  • Magnetron Instruments 4-point probe
  • Polyvar Met with DIC + many optical microscopes
  • Nikon V12A optical comparator
  • Infinivar Infiniprobe
  • Tencor P-10 and P-20 stylus profilometers
  • Tencor Flexus 2320 film stress

ELECTRICAL / MAGNETIC TESTING LABS

  • Verigy 9300 SOC IC tester
  • Microsense FCM-10 vibrating magnetometer (VSM)
  • Keysight 404A Mixed Signal O-scope
  • Keysight E5061B Network Analyzer (pending)
  • Keithley 4200 parameter analyzer with 4 SMUs
  • Probe stations

STAFF SERVICES

  • Equipment Installation, Diagnostics, and Repair
    • Alcatel ASM 180TD dry-pumped leak detecto
  • Professional Technical Support
    • Design of Experiments (DOE)
    • R&D Process Development
  • Thin Film Deposition and Patterning
    Center for Engineering Innovation www.cei.utah.edu

    • Engineering design services
    • (Bio)MEMS design and fabrication
    • Advanced package development